-
1 instruction queue
Вычислительная техника: очередь команд -
2 instruction queue
= IQбуфер процессора, в который команда попадает после операции выборки и откуда она направляется на соответствующее исполнительное устройствоАнгло-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > instruction queue
-
3 instruction queue
-
4 instruction
1) см. тж. processor instruction - машинная команда; команда, инструкция (в языках низкого уровня); оператор, предложение (в ЯВУ)а) одна из базовых операций, выполняемых процессором. Совокупность всех команд, которые может исполнять ЦП, образует его набор машинных команд (instruction set). Машинная команда может использовать регистры ЦП, содержимое ячеек памяти и некоторые другие аппаратные ресурсы.Syn:It also has instructions to allocate memory, to load modules, and to create, synchronize, and communicate between processes — Имеются также операторы для распределения памяти, загрузки модулей, создания, синхронизации и организации взаимодействия процессов, часть из которых исполняется процессором или интерпретатором, а другие служат для описания данных и организации программы.
Syn:см. тж. branch instruction, dummy instruction, illegal instruction, instruction address, instruction bus, instruction cache, instruction cycle, instruction counter, instruction decoder, instruction format, instruction grouper, instruction issue, instruction length, instruction-level parallelism, instruction mix, instruction mnemonics, instruction modifier, instruction opcode, instruction prefetch, instruction prefix, instruction queue, instruction register, instruction scheduling, instruction stream, instruction time, instruction window, I/O instruction, microinstruction, parallel instruction, privileged instruction2) инструкция, указание3) обучение, инструктажАнгло-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > instruction
-
5 queue
1) структура данных, из которой элементы удаляются в том же порядке, в каком они в неё поступаютAnt:2) список заданий на печать, очередь сообщений или очередь задач, порядок работы с которыми определяет ОСсм. тж. background queue, deque, enqueue, event queue, input queue, instruction queue, input queue, job queue, message queue, output queue, print queue, queuing delay, queueing theory, ready queue, task queue, time queue, wait queue3) в компьютерной телефонии - серия телефонных звонков, упорядоченная в последовательность, имеющую начало и конец. Новые звонки добавляются к концу последовательности. Звонки могут удаляться как из конца очереди, так и из начала. В общем случае - упорядоченная последовательность вызовов, ожидающих обработки.Syn:см. тж. queueing theory4) в сетевых устройствах - группа пакетов, ожидающих пересылки через интерфейс (порт)см. тж. packetАнгло-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > queue
-
6 IQ
Англо-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > IQ
-
7 branch prediction
ветвление с прогнозированием, предсказание переходов, предсказание (прогнозирование) ветвленийметод повышения производительности, используемый в процессорах с суперскалярной архитектурой (например, Pentium), заключающийся в опережающей выборке (instruction prefetch) и исполнении процессором команд программы по результатам предсказания адресов переходов, содержащихся в выбранном в текущий момент фрагменте кода. Механизм статистического предсказания переходов обычно включает в себя таблицу с адресами уже происшедших ветвлений и обеспечивает точность предсказания до 90-95%. В случае неверного предсказания результат опережающего вычисления, хранящийся в отдельном буфере, аннулируется и происходит выборка нужной ветви программыАнгло-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > branch prediction
-
8 CPU
(Central Processing Unit) центральный процессор, ЦП, ЦПУчасть компьютера, непосредственно выбирающая из памяти, декодирующая и выполняющая машинные команды, из которых состоят программы, а также координирующая работу остальных его частей. Типичный ЦП содержит регистровый файл (register file), устройство управления (control unit), устройство управления памятью (MMU), арифметико-логическое устройство (ALU), блок арифметики с плавающей запятой (FPU) и другие блоки.Syn:Англо-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > CPU
-
9 superscalar architecture
архитектура процессора с несколькими конвейерами, предусматривающая возможность одновременного выполнения более одной обычной машинной (скалярной) команды, т. е. эти команды запускаются в процессоре на выполнение одновременно и выполняются независимо друг от друга на разных конвейерах. При этом часть полученных результатов может не использоваться в общем вычислении и отбрасываться (обычно из-за ветвлений программы). Применяется как в RISC-, так и в CISC-процессорах. Термин впервые появился в 1987 г.Англо-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > superscalar architecture
-
10 processor
1) вчт процессорг) обработчик программ на языке программирования; компилятор, транслятор; интерпретаторд) (любое) устройство обработки данных (напр. арифмометр)е) (любая) программа для управления процессами передачи, обмена и обработки данных2) исполнитель или участник (определённого) процесса4) производящий обработку субъект; орудие или средство обработки•- acoustic processor
- airborne processor
- algorithm processor
- AMD processor
- analog processor
- analog signal processor
- ancillary control processor
- application processor
- arithmetical processor
- ARM processor
- array processor
- associative processor
- attached processor
- auxiliary processor
- back-end processor
- baseband processor
- binary-image processor
- bit-slice processor - cellular logic image processor
- central processor
- CFAR processor
- channel processor
- chirp-transform processor
- CISC processor
- clone processor
- co-processor
- coherent optical processor
- command processor
- communicating word processors
- communications processor
- complex instruction set computing processor
- computer processor
- constant false-alarm-rate processor
- content-addressable processor
- control processor
- cryogenic associative processor
- data processor
- database processor
- data communications processor
- data-flow processor
- data parallel processor
- data transfer processor
- DEC-Alpha processor
- decentralized redundant processor
- decision processor
- dedicated processor
- dedicated word processor
- diagnostic processor
- digital processor
- digital image processor
- digital signal processor
- digital video processor
- display processor
- distributed processor
- Doppler processor
- down-line processor
- dual processor
- dual-issue processor
- dwell-time processor
- dyadic processor
- EIO processor
- embedded processor
- error input/output processor
- farmer processor
- fast digital processor
- fast-Fourier-transform processor
- film processor
- fixed-point processor
- flexible processor
- floating-point processor
- Fourier processor
- Fourier transform processor - gateway processor
- generalized linear processor
- general-purpose processor - hardwired processor
- heterodyne processor - homomorphic processor
- horizontal processor
- host processor
- IBM processor
- idea processor
- image processor
- incoherent optical processor
- industrial universal digital processor
- information processor
- input/output processor
- instruction processor
- instruction-set processor
- integral multiprotocol processor
- integrated graphics processor
- Intel processor
- interactive processor
- interface processor
- interface message processor
- internetwork processor
- interruption queue processor
- keyboard processor
- knowledge information processor
- language processor
- later processor
- L-cell processor
- linguistic processor
- link input processor
- list processor
- low-power processor
- LSI processor - mailing list processor
- main processor
- maintenance processor
- massively parallel processor
- master processor
- mathematical processor
- matrix processor
- maximum-entropy processor
- media and communication processor
- message processor
- microcoded processor
- microprogrammable processor
- microprogrammed processor
- modular acoustic processor
- MOS processor
- motherboard processor
- Motorola processor
- multichip processor
- multi-issue processor
- multiprotocol communications processor
- N-bit processor
- network processor
- node processor
- office processor
- off-line processor
- on-line processor
- operator external interrupt processor
- optical signal processor
- outline processor - Pentium processor
- peripheral processor
- photomask processor
- picture processor
- pipelined processor
- pixel processor
- post-processor
- PowerPC processor
- pre-processor
- problem-oriented processor
- queue processor
- raster processor
- raster image processor
- reduced instruction set computing processor
- request queue processor
- RISC processor
- scalar processor
- scan-time processor
- scientific processor
- second processor
- semantic processor
- sequential processor
- service processor
- single-chip processor
- single-issue processor
- slave processor
- SNA processor
- space-time processor
- stack-based processor
- stand-alone processor
- superpipelined processor
- superscalar processor
- symbolic processor
- symmetrical multiple processor
- synthesis processor
- system platform processor
- systolic processor
- target processor
- terminal processor
- terminal interface processor
- text processor
- transaction processor
- up-line processor
- user core allocation queue processor
- vector processor
- vertical processor
- very long instruction word processor
- video processor
- video-to-digital processor
- virtual processor
- visual image processor
- VLIW processor
- voice processor
- waveform matrix processor
- wavefront processor
- word processor
- word-oriented processor
- worker processor -
11 processor
1) вчт. процессорг) обработчик программ на языке программирования; компилятор, транслятор; интерпретаторд) (любое) устройство обработки данных (напр. арифмометр)е) (любая) программа для управления процессами передачи, обмена и обработки данных4) производящий обработку субъект; орудие или средство обработки•- acoustic processor
- airborne processor
- algorithm processor
- AMD processor
- analog processor
- analog signal processor
- ancillary control processor
- application processor
- arithmetical processor
- ARM processor
- array processor
- associative processor
- attached processor
- auxiliary processor
- back-end processor
- baseband processor
- binary-image processor
- bit-slice processor
- bootstrap processor
- Celeron processor
- cellular logic image processor
- central processor
- CFAR processor
- channel processor
- chirp-transform processor
- CISC processor
- clone processor
- coherent optical processor
- command processor
- communicating word processors
- communications processor
- complex instruction set computing processor
- computer processor
- constant false-alarm-rate processor
- content-addressable processor
- control processor
- co-processor
- cryogenic associative processor
- data communications processor
- data parallel processor
- data processor
- data transfer processor
- database processor
- data-flow processor
- DEC Alpha processor
- decentralized redundant processor
- decision processor
- dedicated processor
- dedicated word processor
- diagnostic processor
- digital image processor
- digital processor
- digital signal processor
- digital video processor
- display processor
- distributed processor
- Doppler processor
- down-line processor
- dual processor
- dual-issue processor
- dwell-time processor
- dyadic processor
- EIO processor
- embedded processor
- error input/output processor
- farmer processor
- fast digital processor
- fast-Fourier-transform processor
- film processor
- fixed-point processor
- flexible processor
- floating-point processor
- Fourier processor
- Fourier transform processor
- frequency-domain array processor
- front-end processor
- games processor
- gateway processor
- generalized linear processor
- general-purpose processor
- Golay logic processor
- Golay transform processor
- graphic processor
- hardwired processor
- heterodyne processor
- heterogeneous element processor
- high definition video processor
- higher processor
- homomorphic processor
- horizontal processor
- host processor
- IBM processor
- idea processor
- image processor
- incoherent optical processor
- industrial universal digital processor
- information processor
- input/output processor
- instruction processor
- instruction-set processor
- integral multiprotocol processor
- integrated graphics processor
- Intel processor
- interactive processor
- interface message processor
- interface processor
- internetwork processor
- interruption queue processor
- keyboard processor
- knowledge information processor
- language processor
- later processor
- L-cell processor
- linguistic processor
- link input processor
- list processor
- low-power processor
- LSI processor
- machine-instruction processor
- macro processor
- mailing list processor
- main processor
- maintenance processor
- massively parallel processor
- master processor
- mathematical processor
- matrix processor
- maximum-entropy processor
- media and communication processor
- message processor
- microcoded processor
- microprogrammable processor
- microprogrammed processor
- modular acoustic processor
- MOS processor
- motherboard processor
- Motorola processor
- multichip processor
- multi-issue processor
- multiprotocol communications processor
- N-bit processor
- network processor
- node processor
- office processor
- off-line processor
- on-line processor
- operator external interrupt processor
- optical signal processor
- outline processor
- OverDrive processor
- parallel processor
- Pentium processor
- peripheral processor
- photomask processor
- picture processor
- pipelined processor
- pixel processor
- post-processor
- PowerPC processor
- pre-processor
- problem-oriented processor
- queue processor
- raster image processor
- raster processor
- reduced instruction set computing processor
- request queue processor
- RISC processor
- scalar processor
- scan-time processor
- scientific processor
- second processor
- semantic processor
- sequential processor
- service processor
- single-chip processor
- single-issue processor
- slave processor
- SNA processor
- space-time processor
- stack-based processor
- stand-alone processor
- superpipelined processor
- superscalar processor
- symbolic processor
- symmetrical multiple processor
- synthesis processor
- system platform processor
- systolic processor
- target processor
- terminal interface processor
- terminal processor
- text processor
- transaction processor
- up-line processor
- user core allocation queue processor
- vector processor
- vertical processor
- very long instruction word processor
- video processor
- video-to-digital processor
- virtual processor
- visual image processor
- VLIW processor
- voice processor
- waveform matrix processor
- wavefront processor
- word processor
- word-oriented processor
- worker processorThe New English-Russian Dictionary of Radio-electronics > processor
-
12 register
1) регистр4) точное совпадение; совмещение5) точно совпадать; совмещать(ся)•- activity register
- addend-partial product register
- adding-storage register
- address register
- address-formation register
- arithmetic register
- balanced-pair storage register
- bank address register
- base address register
- base interrupt address register
- base register
- base-bound register
- base-limit register
- base-of-stack register
- bidirectional shift register
- bound register
- boundary register
- branch register
- breakpoint register
- B-register
- bucket-brigade shift register
- buffer register
- cache control register
- cash register
- charge coupled shift register
- check register
- circulating shift register
- circulation code register
- circulation register
- clock register
- clock-select register
- coincidence register
- command and status register
- command register
- configuration register
- console display register
- control register
- control shift register
- control status register
- coordinate register
- counter register
- current instruction register
- data access register
- data direction register
- data register
- data transfer register
- data-path register
- datum-limit register
- decimation register
- dedicated register
- delay present register
- delay-line register
- descriptor base register
- destination register
- directly addressable register
- display register
- double ranked register
- double register
- double-length register
- double-shift register
- double-word register
- doubling register
- dual shift register
- dynamic register
- edge-triggered register
- equal-word register
- error register
- exchange register
- extension register
- extracode control register
- feedback shift register
- ferromagnetic shift register
- final address register
- flag register
- flag status register
- flip-flop register
- floating-point register
- holding register
- hotline register
- icand register
- ier register
- increment register
- incrementing address register
- index addressing register
- index register
- information register
- input buffer register
- input channel buffer register
- input register
- input-output register
- instruction address register
- instruction counting register
- instruction register
- interface buffer register
- internal function register
- interrogate register
- interrogation register
- interrupt control register
- interrupt register
- interrupt status register
- item activity register
- level status register
- lift-to-right shift register
- limit-of-stack register
- linear feedback shift register
- link register
- linkage register
- load shifting register
- local storage address register
- machine register
- magnetic shift register
- main control register
- main storage data register
- maintenance state register
- mask register
- master-slave shift register
- match/mismatch register
- matched word register
- mechanical buffer register
- memory address register
- memory buffer register
- memory data register
- memory input register
- memory lockout register
- memory pointer register
- memory register
- memory selection register
- memory-information register
- microinstruction register
- modifier register
- motion register
- multiple accumulating register
- multiplicand register
- multiplier register
- multiplier-quotient register
- non-linear feedback shift register
- n-tuple length register
- n-tuple register
- number register
- numerator register
- on-chip address register
- operand address register
- operand register
- operation address register
- operation register
- operational address register
- order register
- ordering function register
- output buffer register
- output channel buffer register
- output register
- output shift register
- page address register
- page register
- parallel register
- partial product register
- partial sum register
- pattern register
- ping-pong register
- pipeline register
- prefix register
- product register
- program address register
- program control register
- program register
- queue register
- quotient register
- read-only storage channel address register
- read-only storage data register
- readout register
- receiving register
- remainder register
- residue register
- result register
- return register
- routing register
- scalar register
- scan-in/scan-out register
- scratchpad register
- search register
- sequence control register
- sequence register
- serial register
- serial/parallel register
- settable register
- setup register
- shadow register
- shift register
- shifting register
- shiftless register
- sign register
- signature register
- single shift register
- sort register
- special-function register
- special-purpose register
- spilled register
- stack register
- standard linkage register
- standby register
- static flip-flop register
- static register
- status register
- stepping register
- storage address register
- storage limits register
- storage register
- sum product register
- switch register
- temporary register
- temporary storage register
- time register
- timed-access register
- top-of-stack register
- trigger register
- user register
- user-visible register
- vacant register
- vector register
- word register
- working register
- X-register
- Y-registerEnglish-Russian dictionary of computer science and programming > register
-
13 length
leŋθ сущ.
1) длина 10 feet in length ≈ 10 футов в длину the length of the rope ≈ длина веревки The chapters of the book are very unequal in length. ≈ Главы книги очень неравномерны по длине.
2) а) расстояние;
тж. перен. You did not say that the disorder had got that length with you. ≈ Ты не говорил, что болезнь зашла так далеко. to go all lengths, to go any length ≈ идти на все, ни перед чем не останавливаться to go the length of doing smth. ≈ решиться сделать что-л. to go the whole length of it ≈ делать что-л. основательно, доводить до конца through the length and breadth (of) ≈ вдоль и поперек, из края в край Syn: distance
1. б) длина чего-л., принимаемая за единицу расстояния His horse led by a length. ≈ Его лошадь шла на корпус впереди других. at arm's length ≈ на вытянутую руку one's length ≈ чей-л. рост to fall all one's length ≈ растянуться во весь рост The Oxford crew won by three and a half lengths. ≈ Команда гребцов Оксфорда выиграла гонки с преимуществом в три с половиной корпуса.
3) а) продолжительность, протяженность( во времени;
особ. большая протяженность) in length of time ≈ со временем to speak at some length ≈ говорить долго The length of the journey was the chief objection to it. ≈ Главным аргументом против поездки была ее чрезмерная продолжительность. б) фон. долгота( звука)
4) кусок, отрезок
5) отрез a length of dress fabric ≈ отрез на платье длина - the * of a road длина дороги - the * of a field протяженность поля - of certain * определенной длины - the * of a list длина списка - to find the * of the sides найти /определить/ длину сторон - a room 20 feet in * and 12 feet in breadth комната 20 футов длиной и 12 футов шириной расстояние;
протяженность - at arm's * на расстоянии вытянутой руки - ships a cable's * apart корабли на расстоянии кабельтова друг от друга - * of the stage( спортивное) протяженность этапа велогонки - * of march( военное) величина перехода - * of marching( военное) глубина походной колонны - large *s of seas морские просторы протяженность (во времени), длительность, продолжительность - (of) an hour's * продолжительностью в час - the * of a speech продолжительность речи - * of service in grade (военное) выслуга лет в данном чине /звании/ - a stay of some * довольно длительное пребывание - in * of time с течением времени - to see a friend after a * of absence увидеть друг друга после долгого отсутствия - to speak for a * of time долго говорить - breakfast was drawn out to a great * завтрак очень затянулся кусок, отрезок (троса, трубы или провода) плеть (рельса) отрез - a silk dress * отрез шелка на платье (спортивное) длина корпуса - the horse won by three *s лошадь опередила других на три корпуса выход солодовой вытяжки (в пивоварении) (фонетика) долгота (звука или слога) > at * детально, подробно, пространно (тж. at full /at great *, at some/ *) в натуральную величину( о портрете) ;
наконец, в конце концов > to go (to) all *s, to go (to) any *, to go a great * ни перед чем не останавливаться, идти на все > to go (to) the * of smth. пойти /решиться/ на что-л. > he would go to any * to have his way он не остановится ни перед чем, чтобы добиться своего > to go the whole * of it делать что-л. основательно, доводить что-л. до конца > to find /to get, to have, to know/ the * of smb.'s foot (стараться) узнать чьи-л. слабые стороны;
присматриваться к кому-л.;
раскусить кого-л. > at arm's * на почтительном расстоянии > to travel throught the * and breadth of the country исколесить всю страну вдоль и поперек > to fall all one's *, to measure one's * on the floor /on the ground/ растянуться во всю длину at full ~ во всю длину;
врастяжку at full ~ со всеми подробностями;
the horse won by three lengths лошадь опередила других на три корпуса;
to fall all one's length растянуться во весь рост at ~ в длину at ~ наконец at ~ подробно;
to go all lengths (или any length) идти на все, ни перед чем не останавливаться block ~ вчт. длина блока code combination ~ вчт. длина кодовой комбинации credit ~ срок кредита to draw out to a great ~ затянуть, растянуть (доклад и т. п.) at full ~ со всеми подробностями;
the horse won by three lengths лошадь опередила других на три корпуса;
to fall all one's length растянуться во весь рост field ~ вчт. длина поля at ~ подробно;
to go all lengths (или any length) идти на все, ни перед чем не останавливаться to go the ~ of doing (smth.) позволить себе, осмелиться сделать (что-л.) to go the whole ~ of it делать (что-л.) основательно, доводить до конца at full ~ со всеми подробностями;
the horse won by three lengths лошадь опередила других на три корпуса;
to fall all one's length растянуться во весь рост ~ продолжительность;
протяжение;
of some length довольно продолжительный;
in length of time со временем instruction ~ вчт. длина команды ~ расстояние;
to keep at arm's length держать на почтительном расстоянии length дальность ~ длина ~ длительность ~ фон. долгота гласного ~ отрез;
a length of dress fabric отрез на платье ~ отрезок, кусок ~ продолжительность;
протяжение;
of some length довольно продолжительный;
in length of time со временем ~ продолжительность ~ расстояние;
to keep at arm's length держать на почтительном расстоянии ~ расстояние ~ отрез;
a length of dress fabric отрез на платье ~ of game число ходов ~ of maturity срок долгового обязательства ~ of residence продолжительность проживания ~ of time промежуток времени ~ of work (service) стаж работы (службы) loading ~ продолжительность погрузки ~ продолжительность;
протяжение;
of some length довольно продолжительный;
in length of time со временем packet ~ вчт. длина пакета program ~ вчт. длина программы queue ~ вчт. длина очереди record ~ вчт. длина записи rigid ~ вчт. фиксированная длина route ~ вчт. длина маршрута rubber ~ вчт. упругая длина to speak at some ~ говорить долго string ~ вчт. длина строки through the ~ and breadth (of) вдоль и поперек, из края в край variable ~ вчт. переменная длина vector ~ вчт. размерность вектора word ~ вчт. длина слова -
14 peloton
m3) анат. жировое отложение4) уст. небольшая подушечка для булавок5) скопление, рой ( насекомых)6) воен. взводpeloton de punition, peloton de discipline — дисциплинарный взвод, рабочая команда (группа солдат, отбывающих наказание на тяжёлых работах)suivre le peloton — проходить подготовку в учебном взводеs'échapper du peloton — оторваться от группыrecoller au peloton — догнать остальных••être [marcher] dans le peloton разг. — быть как все, не выделяться среди другихpeloton de tête — головная, лидирующая группа ( в велоспорте) -
15 area
1) область; участок2) площадь; поверхность3) контактная площадка; контактный участок•- application area
- area of expertise
- background program area
- basic fixed area
- bonding area
- buffer area
- clear area
- code area
- common storage area
- communication area
- constant area
- contiguous area
- control area
- dialogue area
- dialog area
- distribution tail area
- dynamic area
- fill area
- fixed area
- fixed logout area
- fixed-product area
- front-face area
- high memory area
- holding area
- hot area
- image area
- input area
- instruction area
- junction area
- land area
- link pack area
- output area
- overflow area
- overlap area
- overscan area
- pageable dynamic area
- paging area
- permanent storage area
- prefix storage area
- problem area
- program status area
- protected area
- read-write area
- recording area
- routing area
- save area
- scratch area
- seek area
- specialist area
- storage area
- supervisor queue area
- surface area
- switchbox area
- tail area
- touch area
- transient area
- untestable fault area
- untestable area
- upper memory area
- user area
- working area
- work areaEnglish-Russian dictionary of computer science and programming > area
-
16 processor
процессор ( аппаратное устройство или обрабатывающая программа); узел обработки- airborne data processor
- airborne processor
- algorithm processor
- alterable processor
- ancillary control processor
- arithmetic processor
- array processor
- assembly language processor
- associative processor
- attached processor
- auxiliary processor
- back-end processor
- background job processor
- background processor
- basic processor
- batch-mode processor
- bit-slice processor
- bit-stream processor
- byte-slice processor
- center processor
- central data processor
- central processor
- command processor
- communications processor
- console command processor
- content-addressable processor
- control processor
- data communication processor
- data flow processor
- data interchange processor
- data link processor
- data processor
- database processor
- dead processor
- demand-paged processor
- diagnostic processor
- digital signal processor
- digital speech processor
- display processor
- distributed database processor
- dual processor - fast-Fourier-transform processor
- FFT processor
- file control processor
- file processor
- file revision processor
- flexible processor
- floating-point arithmetic processor
- floating-point processor
- front-end processor
- gateway processor
- general-purpose processor
- general-register processor
- geometric arithmetic parallel processor
- geometry processor
- graphic job processor
- graphics processor
- heterogeneous-element processor
- highly concurrent processor
- host processor
- host-language processor
- I/O processor
- idle processor
- image processor
- input/output processor
- instruction processor
- integrated array processor
- interface processor
- interruptable processor
- language processor
- language-specific processor
- large-scale processor
- linguistic processor
- local processor
- logic processor
- look-ahead processor
- loosely coupled processors
- maintenance processor
- master processor
- mathematical processor
- math processor
- matrix-vector processor
- message processor
- microprogrammable processor
- mid-range processor
- modular acoustic processor
- multipipeline processor
- multiunit processor
- nearby processor
- node processor
- non-neighbor processor
- nonsegmented processor
- N-pipe processor
- numeric processor
- off-line processor
- one-bit processor
- on-line processor
- optical matrix processor
- orthogonal processor
- out-of-order processor
- output test processor
- painting processor
- Pentium processor
- peripheral processor
- pipeline processor
- pipelined processor
- pixel processor
- programmed data processor
- queue processor
- quiescent processor
- real-time processor
- reference processor
- resource allocation processor
- RISC-based processor
- RISC-processor
- satellite processor
- scientific processor
- segmented processor
- self-dispatching processor
- sending processor
- service processor
- simulation processor
- single-cycle processor
- slave processor
- SMT processor
- soft architecture processor
- software processor
- specially designed processor
- speech processor
- speech-synthesis processor
- stand-alone processor
- stochastic processor
- support processor
- system processor
- systolic processor
- terminal processor
- test result processor
- test-and-repair processor
- text processor
- tightly coupled processors
- transform processor
- uncooperative processor
- vector processor
- video-display processor
- viewing processor
- virtual processor
- VLSI array processor
- voice processor
- wavefront array processor - word-oriented processorEnglish-Russian dictionary of computer science and programming > processor
-
17 system
1) система || системный2) система; установка; устройство; комплекс3) программа•- adaptive control system
- address selection system
- addressing system
- advice-giving system
- AI planning system
- AI system
- analog computing system
- analog-digital computing system
- analysis information system
- application system
- arabic number system
- arithmetic system
- assembly system
- asymmetrical system
- atomic system
- attached processor system
- audio system
- authoring system
- automated office system
- automatic block system
- automatic checkout system
- automatic control system
- automatic search system
- automatic test system
- automatically programmed system
- automatically taught system
- autoprogrammable system
- axiomatic system
- backup system
- bad system
- bang-bang system
- base-2 system
- basic system
- batch-processing system
- binary system
- binary-coded decimal system
- binary-number system
- biquinary system
- bit-mapped system
- bit-slice system
- black-board expert system
- block parity system
- buddy system
- business system
- bus-oriented system
- bussed system
- CAD system
- call-reply system
- carrier system
- cause-controlled system
- character recognition system
- character-reading system
- chargeback system
- check sum error-detecting system
- chip-layout system
- clock system
- closed loop system
- closed system
- co-authoring system
- code recognition system
- code system
- coded-decimal system
- code-dependent system
- code-insensitive system
- code-sensitive system
- code-transparent system
- coding system
- coincident selection system
- cold system
- color-coded system
- command system
- common-bus system
- communication data system
- communications-oriented system
- complete articulated system
- computer system
- computer-aided design system
- computer-aided system
- computer-based system
- computer-based weapon system
- computerized system
- computing system
- concatenated coding system
- concealment system
- conservative system
- contention system
- continuous presence system
- control system
- controlled system
- controlling system
- coordinate system
- cordonnier system
- costrained vision system
- cross system
- crossbar switch system
- data acquisition system
- data collection system
- data exchange system
- data flow system
- data gethering system
- data handling system
- data management system
- data preparation system
- data processing system
- data reduction system
- data retrieval system
- data storage system
- data system
- data transmission system
- database management system
- database support system
- data-managed system
- decimal number system
- decimal system
- decimal numeration system
- decision support system
- decision-aided system
- decision-making system
- decision-support system
- decision-taking system
- decoding selection system
- decomposable system
- dedicated system
- degenerate system
- design library support system
- design-automation system
- design-verification system
- development support system
- development system
- digital communication system
- digital computing system
- direct-current system
- directly coupled system
- discrete system
- discrete-continuous system
- disk operating system
- display system
- distributed database management system
- distributed function system
- distributed intelligence system
- distributed parameter system
- distributed system
- distribution system
- double intermediate tape system
- down system
- drafting system
- dual system
- dual-computer system
- dual-processor system
- duodecimal number system
- duodecimal system
- duotricenary number system
- duotricenary system
- duplexed computer system
- duplex computer system
- dyadic number system
- dyadic system
- dynamic mapping system
- dynamic scene system
- dynamic support system
- electronic data processing system
- electronic sorting system
- encoding system
- equipment adapted data system
- erasing system
- error-controlled system
- error-correcting system
- error-detecting system
- executive file-control system
- executive system
- expert control system
- expert support system
- expert system
- expert-planning system
- externally pulsed system
- fail-safe system
- fail-soft system
- fan-out system
- fault-tolerant system
- feasible system
- federated system
- feed system
- feedback system
- feedforward control system
- fiche retrieval system
- file control system
- file system
- fixed-lenght record system
- fixed-point system
- fixed-radix numeration system
- floating-point system
- fluid transport system
- follow-up system
- forgiving system
- front-end system
- fuzzy expert system
- generic expert system
- geographically distributed system
- goal-seeking system
- good system
- graceful degradation system
- graphic data system
- graphics display system
- graphics system
- help system
- heterogeneous system
- hexadecimal number system
- hexadecimal system - host system
- hostless system
- host-satellite system
- human visual system
- hunting system
- hypermedia system
- imaging system
- incremental system
- independent system
- indirectly coupled system
- information storage and retrieval system
- information retrieval system
- information handling system
- information management system
- information processing system
- information system
- information-feedback system
- in-plant system
- input/output control system
- instruction system
- instrumentation management system
- integrated system
- intelligence system
- interactive control system
- interactive system
- intercommunicating system
- interlock system
- internal number system
- internal system
- Internet-enabled system
- interrupt system
- isolated system
- kernel system
- key-to-disk/tape system
- knowledge base management system
- knowledge system
- knowledge-based system
- large-scale computing system
- laser communication system
- layered control system - lexicon-driven system
- library reference system
- local-network system
- long-haul system
- lumped-parameter system
- machine tool control system
- machine-limited system
- machine-oriented programming system
- macroinstruction system
- macro system
- magnetic memory system
- magnetic recording system
- magnetic tape plotting system
- mail message system
- mail system
- mailbox system
- management information system
- man-machine system
- mapping system
- map-reading system
- mass memory system
- mass storage system
- master/slave system
- matrix memory system
- memory driver system
- memory system
- message handling system
- message system
- microcomputer system
- microfilm printing system
- midsplit system
- MIMO system
- mixed-base numbering system
- mixed-base number system
- mixed-radix numeration system
- model-based expert system
- modular system
- monitoring system
- monitor system
- mosaic system - multicomputer system
- multidimensional system
- multifrequency system
- multilevel storage system
- multiloop system
- multimaster communication system
- multimicroprocessor system
- multiple computation system
- multiple-bus system
- multiple-coincident magnetic storage system
- multiple-output control system
- multiplex system
- multiport system
- multiprocessing system
- multiprocessor system
- multiprogramming computer system
- multiprogramming system
- multisite system
- multispeaker system
- multistable system
- multitasking operating system
- multiterminal system
- multiuser computer system
- multiuser system
- multiuser operating system
- multivariable system
- multivariate system
- negative-base number representation system
- negative-base number system
- network operating system
- node-replicated system
- noncomputerized system
- nonconsistently based number system
- nondegenerate system
- number representation system
- numbering system
- number system
- numeral system
- numeration system
- numerical system
- octal number system
- octal system
- office automation system
- off-line system
- on-demand system
- one-level storage system
- one-loop system
- one-over-one address system
- on-line system
- open-ended system
- open system
- open-loop system
- operating system
- operational system
- optical memory system
- overdetermined system
- overload-hold system
- page-on-demand system
- panelboard system
- paper-tape system
- parameter-driven expert system
- pattern recognition system
- peek-a-boo system
- peripheral system
- pipeline system
- polled system
- polymorphic system
- polyphase system
- portable system
- positional representation system
- Post-production system
- priority scheduling system
- priority system
- procedural expert system
- process control system
- processor-sharing system
- production control system
- production system
- program system
- programming system
- protection system
- pulse system
- pulse-or-no-pulse system
- pulse-signal system
- punch card computer system
- pure-binary numeration system
- purposeful system
- quadruplex system
- question-answering system
- queueing system
- queue system
- radix numbering system
- radix number system
- reactive system
- reading system
- real-time expert system
- real-time operating system
- real-time system
- reasoning system
- recognition system
- recording system
- recovery system
- reduntant number system
- reduntant system
- reflected binary number system
- reflected binary system
- refreshment system
- remote-access system
- replicating system
- representation system
- request-repeat system
- rerecording system
- residue number system
- residue system
- resource-sharing system
- restorable system
- retrieval system
- retrieval-only system - robotic system
- robot system
- rule-based expert system
- rule-based system
- scalable system
- selection system
- self-adapting system
- self-adjusting system
- self-aligning system
- self-balancing system
- self-check system
- self-contained system
- self-correcting system
- self-descriptive system
- self-learning system
- self-organizing system
- self-sustained oscillation system
- self-test system
- sensor-based system
- sequential scheduling system
- sexadecimal number system
- sexadecimal system
- shared-files system
- shell expert system
- silicon-development system
- simplex system
- single-drive system
- single-inheritance system
- single-phase clock system
- single-site system
- single-user computer system
- SISO system
- skeletal expert system
- slave system
- soft-sectored disk system
- software system
- sound system
- source code control system
- source-destination system
- space-division system
- stabilizing system
- stable system
- stand-alone system
- start-stop system
- state-determined system
- stepped start-stop system
- stereo system
- stochastically disturbed system
- storage system
- stripped-down expert system
- subsplit system
- supervisor control system
- switching system
- symbolic assembly system
- syntactical system
- system explanation system
- system of logic
- system of notation
- system with delay
- system with time lag
- tabulating system
- tape data processing system
- tape drive system
- tape handling system
- tape operating system
- tape plotting system
- tape resident system
- tape-oriented system
- target system
- taught system
- telecommunictions system
- telecontrol system
- terminal system
- ternary number system
- ternary system
- test system
- testbed system
- text-to-speech system
- time-division system
- time-pattern control system
- time-shared system
- time-shared-bus system
- time-sharing system
- timing system
- total system
- translating system
- translation system
- translator writing system
- transmitting system
- tree-structured system
- trusted computer system
- two-failure mode system
- two-level return system
- two-level system
- two-phase clock system
- ultrastable system
- Unified system
- uninterruptible power system
- uniprocessor system
- unrestorable system
- unstable system
- up system
- variable-lenght record system
- virtual system
- virtual-memory operating system
- vision system
- visual system
- voice/audio processing system
- voice-response system
- volunteer system
- weighted number system
- weighted system
- writing system
- xerox copy system
- zero-one systemEnglish-Russian dictionary of computer science and programming > system
-
18 entry
1. n вход; въездno entry — входа нет, въезд запрещён
deferred entry — задержанный вход; отсроченный ввод
2. n спорт. вход в воду3. n косм. вход, вхождение в атмосферуentry time — время входа; момент ввода
entry symbol — символ входа; имя входа
4. n вчт. ввод данныхentry conditions — начальные условия, предусловия
5. n выход6. n выход актёра7. n вступление8. n поступление9. n дверь, ворота; вход, проходentry point — точка входа; вход
10. n передняя; вестибюль; сени11. n амер. лестничная площадка12. n устье13. n амер. начало; наступлениеentry of a month — начало месяца; наступление нового месяца
14. n юр. фактическое вступление во владение недвижимым имуществом15. n юр. восстановление нарушенного владения16. n юр. горн. откаточный штрек17. n юр. метал. посадкаСинонимический ряд:1. account (noun) account; insertion; posting; record2. door (noun) access; adit; admission; admittance; approach; door; doorway; entrance; entranceway; entree; entryway; foyer; gate; hall; ingress; ingression; lobby; portal; vestibule; way3. item in a log (noun) data; information; item; item in a log; journal entry; keystroke; note; passageАнтонимический ряд: -
19 length
[leŋθ]at full length во всю длину; врастяжку at full length со всеми подробностями; the horse won by three lengths лошадь опередила других на три корпуса; to fall all one's length растянуться во весь рост at length в длину at length наконец at length подробно; to go all lengths (или any length) идти на все, ни перед чем не останавливаться block length вчт. длина блока code combination length вчт. длина кодовой комбинации credit length срок кредита to draw out to a great length затянуть, растянуть (доклад и т. п.) at full length со всеми подробностями; the horse won by three lengths лошадь опередила других на три корпуса; to fall all one's length растянуться во весь рост field length вчт. длина поля at length подробно; to go all lengths (или any length) идти на все, ни перед чем не останавливаться to go the length of doing (smth.) позволить себе, осмелиться сделать (что-л.) to go the whole length of it делать (что-л.) основательно, доводить до конца at full length со всеми подробностями; the horse won by three lengths лошадь опередила других на три корпуса; to fall all one's length растянуться во весь рост length продолжительность; протяжение; of some length довольно продолжительный; in length of time со временем instruction length вчт. длина команды length расстояние; to keep at arm's length держать на почтительном расстоянии length дальность length длина length длительность length фон. долгота гласного length отрез; a length of dress fabric отрез на платье length отрезок, кусок length продолжительность; протяжение; of some length довольно продолжительный; in length of time со временем length продолжительность length расстояние; to keep at arm's length держать на почтительном расстоянии length расстояние length отрез; a length of dress fabric отрез на платье length of game число ходов length of maturity срок долгового обязательства length of residence продолжительность проживания length of time промежуток времени length of work (service) стаж работы (службы) loading length продолжительность погрузки length продолжительность; протяжение; of some length довольно продолжительный; in length of time со временем packet length вчт. длина пакета program length вчт. длина программы queue length вчт. длина очереди record length вчт. длина записи rigid length вчт. фиксированная длина route length вчт. длина маршрута rubber length вчт. упругая длина to speak at some length говорить долго string length вчт. длина строки through the length and breadth (of) вдоль и поперек, из края в край variable length вчт. переменная длина vector length вчт. размерность вектора word length вчт. длина слова
См. также в других словарях:
Instruction pipeline — Pipelining redirects here. For HTTP pipelining, see HTTP pipelining. Basic five stage pipeline in a RISC machine (IF = Instruction Fetch, ID = Instruction Decode, EX = Execute, MEM = Memory access, WB = Register write back). In the fourth clock… … Wikipedia
Double-ended queue — Not to be confused with Double ended priority queue. In computer science, a double ended queue (dequeue, often abbreviated to deque, pronounced deck) is an abstract data structure that implements a queue for which elements can only be added to or … Wikipedia
Prefetch input queue — Most modern processors load their instructions some clock cycles before they execute them. This is achieved by pre loading machine code from memory into a prefetch input queue (PIQ).This behavior only applies to von Neumann computers (that is,… … Wikipedia
Out-of-order execution — In computer engineering, out of order execution (OoOE or OOE) is a paradigm used in most high performance microprocessors to make use of instruction cycles that would otherwise be wasted by a certain type of costly delay. In this paradigm, a… … Wikipedia
Intel 8086 — Produced From 1978 to 1990s Common manufacturer(s) Intel, AMD, NEC, Fujitsu, Harris (Intersil), OKI, Siemens AG … Wikipedia
Tomasulo algorithm — The Tomasulo algorithm is a hardware algorithm developed in 1967 by Robert Tomasulo from IBM. It allows sequential instructions that would normally be stalled due to certain dependencies to execute non sequentially (out of order execution). It… … Wikipedia
AMD K10 — AMD K10 (auch bekannt als „AMD Next Generation Processor Technology“ oder „Stars“) ist der Codename einer Generation von Mikroprozessoren von AMD, die die K8 und K9 Generation ergänzt und mittelfristig ersetzen wird. Die K10 Generation… … Deutsch Wikipedia
Memory disambiguation — is a set of techniques employed by high performance out of order execution microprocessors that execute memory access instructions (loads and stores) out of program order. The mechanisms for performing memory disambiguation, implemented using… … Wikipedia
Register renaming — In computer engineering, register renaming refers to a technique usedto avoid unnecessary serialization of program operations imposed by the reuseof registers by those operations.Problem definitionPrograms are composed of instructions which… … Wikipedia
Classic RISC pipeline — In the history of computer hardware, some early reduced instruction set computer central processing units (RISC CPUs) used a very similar architectural solution, now called a classic RISC pipeline. Those CPUs were: MIPS, SPARC, Motorola 88000,… … Wikipedia
TRIPS-Prozessor — Gehäuse des TRIPS Prozessors Der TRIPS Prozessor (Tera op, Reliable, Intelligently adaptive Processing System) ist ein Forschungsprozessor der University of Texas at Austin. Die Prozessorarchitektur ist so ausgelegt, dass sich weitere Kerne… … Deutsch Wikipedia